Ви є тут

Развитие диагностических методов для задач проекционной литографии 13,5 нм

Автор: 
Пестов Алексей Евгеньевич
Тип роботи: 
дис. канд. физ.-мат. наук
Рік: 
2006
Артикул:
7718
179 грн
Додати в кошик

Вміст

Введение
В настоящее время мы являемся свидетелями стремительного прогресса в технологии микроэлектронных устройств. Ключевым звеном в технологическом прогрессе является литографическое оборудование. Оно включает в себя источник излучения, оптическую систему переноса изображения, систему позиционирования, сканирования и совмещения маски и фотошаблона.
Основные успехи в области субмикронной литографии связаны с применением эксимерных лазеров с длинами волн 248 и 193 нм. Мировым лидером по производству литографического оборудования является нидерландская компания ASM Lithography. Выпускаемая этой компанией литографическая установка TWINSCAN АТ: 1200В, оснащенная 20-Вт ArF - эксимерным лазером с рабочей длиной волны 193 нм, обеспечивает пространственное разрешение 80 нм на пластинах диаметром 300 мм. Однако возможности оптических систем ограничены дифракционным пределом и разрешаемая полоса не может быть существенно уже длины волны света. Согласно критерию Рэлея, эта величина в проекционных оптических системах прямо пропорциональна длине волны света X:
_кЯ_
°тт ~ NA ’
здесь к - коэффициент пропорциональности (порядка 1), NA - числовая апертура объектива. Фундаментальным препятствием на пути дальнейшего уменьшения размеров элементов является дифракционное искажение изображения на оптической системе и масках литографической установки. Возможности увеличения диаметров линз практически исчерпаны как экономически (высокая стоимость линз с большой апертурой), так и технологически. Поэтому производители сверхбольших интегральных схем (СБИС) ведут работы в области корректировки изображения маски, благодаря которым распределение освещенности на подложке соответствует желаемому, несмотря на дифракцию излучения. Другое решение заключается в применении так называемых фазосдвигающих фотошаблонов. На их поверхность селективно наносятся материалы, которые перекрывают пучки, приводящие к вызванной дифракцией размытости изображения. Оба этих пути ведут к усложнению, а значит и
4
удорожанию, конструкции масок, а также к существенному браку при их производстве.
В рамках УФ - литографии перспективным считается уменьшение длины волны излучения, в частности - переход к длине волны 157 нм (излучение эксимерного F2 - лазера). Однако возможности и этой технологии для перехода к размерам наномстрового диапазона также ограничены, в том числе и существующими в настоящее время материалами линз.
Все это обращает внимание на экстремальный ультрафиолетовый (ЭУФ) диапазон электромагнитного излучения (30>Х>10 нм). Эта область непосредственно граничит с мягким рентгеновским диапазоном. Именно здесь в последнее время достигнут заметный прогресс при создании отражательных оптических элементов и высокоэффективных источников излучения. Одним из центральных моментов здесь можно считать создание зеркал, обладающих высоким коэффициентом отражения в ЭУФ и мягкой рентгеновской области спектра. Для этого используются многослойные брэгговские покрытия на атомарно-гладкой поверхности массивной подложки с заданной кривизной.
На многослойных рентгеновских зеркалах на основе пары материалов Mo/Si в настоящее время достигнут коэффициент отражения, приближающийся к 70% при длине волны 13,4 нм (теоретический предел Rmœr74 %). Существуют другие эффективные решения, обеспечивающие #>60%, но все они относя тся к диапазону длин волн 10-15 нм с абсолютным теоретическим максимумом в районе 13,4 нм [1,2]. Это и есть основная причина, по которой все разработки в настоящее время сосредоточены на использовании именно этой длины волны.
Источником ЭУФ излучения обычно являются лазерная плазма, генерируемая импульсным излучением мощного частотного лазера, сфокусированным на некоторую мишень или сильноточный разряд в газе [3]. Оптическая система и маска создаются по принципам отражательной оптики с многослойным покрытием, наносимыми на атомарно гладкие поверхности: плоские для ЭУФ маски и расчетной кривизны для объектива и конденсора. При этом увеличенный в М раз (М - кратность объектива) рисунок интегральной схемы (ИС) гравируется в поглощающем слое на поверхности шаблона традиционными методами субмикронной фотолитографии или электронной литографии. Для экспонирования
5
поверхность полупроводниковой подложки покрывается специальным слоем,
максимальна, однако, это приводит к разрушению сопла и загрязнению оптики частицами материала сопла. В настоящее время ведутся работы по применению либо жидкого [6], либо твердого ксенона, что может привести к повышению коэффициента конверсии до 1,5%.
Параллельно ведется поиск более удачных с точки зрения коэффициента конверсии материалов мишени. Как оказалось, интересными с точки зрения генерации ЭУФ излучения в окрестности 13,5 нм могут быть такие материалы как Sn, Li, F и Sc, а также О [4], имеющие подходящие линии дискретного спектра в сильно ионизованном состоянии. Олову в настоящее время отводится особое внимание, так как уже в первых экспериментах удалось достичь 2% коэффициента конверсии, оценки показывают, что реально получить свыше 4%.
Наряду с лазерно-плазменным источником изучаются и разрабатываются источники на основе сильноточного разряда в газах и парах металлов. При протекании сильного тока плазма сжимается под действием собственного магнитного поля (pinch - эффект). В месте сжатия она нагревается, что приводит к сильной ионизации и возбуждению внутренних оболочек ионов и, соответственно, к генерации излучения. При работе с теми же средами, основное преимущество газоразрядных источников излучения является больший КПД. Используя Z-иинч, удалось получить оптическую мощность в промежуточном фокусе 10 Вт [5,7], что примерно на порядок уступает требуемой величине.
Основной проблемой, не позволяющей до настоящего времени достичь требуемого уровня мощности, является эрозия электродов и изоляторов разрядной камеры под воздействием мощных тепловых потоков и бомбардировки быстрыми ионами (ионное распыление). Одним из следствий этого является загрязнение поверхности зеркал продуктами этой эрозии. Остроту проблемы можно проиллюстрировать на примере того, что в течение нескольких минут работы первых газоразрядных источников на основе Хе, при скромных энергетических параметрах, коэффициенты отражения зеркал падали на порядки величин [5]. Таюке к загрязнению поверхности зеркал приводит разложение углеводородов, присутствующих в вакуумной камере, под воздействием мощных пучков излучения, в том числе и с рабочей длиной волны 13,5 им. На данный момент эти проблемы решаются как в направлении оптимизации характеристик разряда,
7
материалов и условий эксплуатации разрядных камер, минимизации эрозионных потоков, так и средств защиты оптики от эрозионных потоков. Также изучаются химические способы очистки зеркал непосредственно в установке.
Оптическая система
Оптическая система литографа ЭУФ диапазона обладает рядом особенностей:
1. Зеркальная система приводит к тому, что по оптической системе может распространяться не только рабочая длина волны, но и паразитные излучения, обладающие заметным коэффициентом отражения от поверхности зеркал.
2. Многослойные покрытия зеркал оптической системы являются интерференционным фильтром на рабочую длину волны, таким образом, эти покрытия для всех зеркал оптической системы должны обладать одинаковой полосой пропускания, чтобы максимально уменьшить потери мощности из-за их несогласованности.
3. Коэффициенты отражения Mo/Si многослойных покрытий не превышают 70%, соответственно эффективность многозеркальной схемы резко падает с ростом числа зеркал.
Одним из решений первой проблемы является применение тонкопленочных абсорбционных фильтров. Это направление активно развивается в ИФМ РАН. Вторая проблема решается на стадии изготовления многослойных покрытий и далее не обсуждается. Наиболее серьезной проблемой является повышение эффективности оптической схемы. Одним из способов является уменьшение количества зеркал в схеме. Двухзеркальный объектив, состоящий из большого вогнутого зеркала с отверстием в середине и маленького выпуклого, широко применяется в настоящее время в астрономии и носит название “Объектив Шварцшильда”. Такой объекгив рассматривался в рамках американского проекта и имел числовую апертуру .№4=0,06-0,09 [8]. Однако эта схема на двух зеркалах не отвечала требованиям по разрешению метода (критерий Рэлея). Четырехзеркальные системы улучшают ситуацию, удается несколько увеличить №4, сохраняя значительное поле изображения. Но для промышленных применений максимальная числовая апертура не превышает №4=0,1-0,14 [9,10]. Существенно более высокое качество достигается шестизеркальной схемой, а восьмизеркальная, согласно оценкам специалистов К. Zeiss, уже смогла бы обеспечить №4«0,4 на
уровне промышленного сканера-степпера. Следовательно, предполагаемое разрешение промышленного нанолитографа сможет достичь 20-30 нм в результате разработки восьмизеркальной схемы [11]. Таким образом, на первый план все же выходит проблема повышения коэффициента отражения зеркал на рабочей длине волны.
Маска
Маска представляет собой такое же многослойное зеркало, однако, в отличие от зеркал оптической системы оно является плоским и на его поверхность наносится слой сильно поглощающего ЭУФ излучение вещества (например W, Сг). Этот слой представляет собой ни что иное, как в М раз увеличенный рисунок СБИС. Рисунок наносится на многослойное покрытие одним из известных методов - фотолитографией или электронной литографией. Основной не решенной на настоящий момент проблемой является превышающее допустимый уровень число дефектов с размерами 20-50 им.
ЭУФ резист
Основной проблемой, стоящей перед учеными при поиске оптимального резиста для ЭУФ литографии, является высокое поглощение ЭУФ излучения практически всеми веществами. Глубина проникновения излучения в стандартные органические резисты составляет порядка 100 нм. Резист для промышленного производства должен обладать как высоким контрастом передачи изображения, так и высокой чувствительностью для обеспечения минимального времени
Л
экспозиции. Чувствительность на уровне 5-10 мДж/см считается наиболее адекватной, поскольку это значение является компромиссным между требованиями высокой производительности и минимизации флуктуаций вследствие фотонного дробового шума [12]. Помимо оптических свойств резист должен обладать приемлемыми химическими свойствами. В связи с уменьшением размера элементов на резисте станови гея актуальной проблема размытия края изображения.
Таким образом, создание промышленного нанолитографа сталкивается с решением большого числа физико-технических проблем, а помимо их, решения еще требуют задачи точной механики. Кроме того, требуется нанометровая точность фокусировки. Нетривиальна проблема общей оптической юстировки прибора в ЭУФ диапазоне.
9
Цель диссертационной работы
Данная работа направлена на решение ряда задач проекционной ЭУФ литографии 13,5 нм, в частности, связанных с источником ЭУФ излучения, оптической системой и фоторезистами.
Задачи диссертационной работы
Основные задачи диссертационной работы, которые необходимо решить для достижения поставленной цели в области исследований по направлению ЭУФ литографии на длине волны 13,5 им можно определить следующим образом:
1. Изучение отражательных свойств и дисперсионных характеристик многослойных рентгеновских зеркал, оптимизированных на длину волны 13,5 нм, с точностью их определения лучше 1%.
2. Изучение характеристик детекторов мягкого рентгеновского (МР) и ЭУФ излучения и разработка детекторной системы с широким динамическим диапазоном, работающей в режиме счета единичных фотонов.
3. Изучение рассеяния рентгеновского излучения на микрошероховатостях многослойных зеркал.
4. Изучение генерации излучения с длиной воны 13,5 нм с помощью разборных рентгеновских трубок, определение коэффициента конверсии энергии электронов в энергию характеристической линии 5/ Ьа.
Научная новизна работы
1. Разработан, изготовлен и введен в эксплуатацию лабораторный рефлектометр,
позволяющий определять особенности и абсолютное значение коэффициен тов отражения и пропускания на длине волны 13,5 нм с точностью 0,1%. Ранее такие точности были доступны только в синхротрониых центрах. Использование пары многослойных рентгеновских зеркал в качестве монохроматора позволило более чем на три порядка повысить интенсивность зондирующего пучка на образце по сравнению со стандартным рефлектометром скользящего падения на основе дифракционной решетки РСМ-500. Путем смены пары зеркал монохроматора прибор может- быть
10
перестроен на любой другой диапазон длин волн, для которых имеется возможность напыления многослойных рентгеновских зеркал (МРЗ) с высокими коэффициентами отражения при нормальных углах падения излучения.
2. Впервые экспериментально измерен коэффициент конверсии энергии электронного пучка в энергию характеристической линии £/ Ла при электронном возбуждении, изучены угловые зависимости выхода излучения из кремниевой мишени.
3. Показана возможность использования рентгеновской трубки с кремниевой мишенью для решения ряда задач проекционной ЭУФ литографии на длине волны 13,5 нм.
4. Впервые удалось разделить вклады перемешивания и микрошероховатости в несовершенство межслоевых границ в многослойных структурах.
Практическая значимость работы
1. Появление светосильного рефлектометра позволило существенно сократить время па аттестацию МРЗ и тонкопленочных абсорбционных фильтров (ТЛФ), а также существенно расширить круг возможных задач ЭУФ литографии 13,5 нм. доступных для решения уже на приборах этого типа. Помимо М3 и ТАФ прибор позволяет изучать чувствительность и пространственное разрешение ЭУФ резистов, флуоресценцию материалов в МР и ЭУФ диапазонах и др.
2. Изучены особенности работы вторичных электронных умножителей на основе микроканальных пластин (МКП) и каналовых элекгронньгх умножителей (КЭУ) в условиях высоких интенсивностей падающего излучения. Созданы две детекторные системы. Универсальная детекторная система предназначена для регистрации излучения в ЭУФ и МР диапазонах в режиме счета фотонов. Она позволяет работать с различными типами детекторов: фотоэлектронные умножители, шевронные и 2-сборки МКП, каналовые умножители. Спектрально-селективная детекторная система позволяет проводить аттестацию источников ЭУФ излучения для литографических установок и нашла применение в ряде лабораторий.
11
3. Разработанные в рамках диссертационной работы рентгеновские трубки находят применение в работах как по ЭУФ литографии, так и для рефлекгометрии МР и ЭУФ диапазона.
4. Развита методика диффузного рассеяния, при помощи которой получен ряд физических результатов, важных как для понимания физики роста многослойных структур (МС), так и для дальнейшего совершенствования технологии роста.
Основные положения, выносимые на защиту
Основные положения, выносимые на защиту формулируются следующим
образом:
1. Создан светосильный лабораторный рефлектометр, позволяющий изучать коэффициенты отражения и прохождения элементов рентгеновской и экстремальной ультрафиолетовой оптики с точностью на уровне 0,1%, что ранее было возможно только в синхротронных центрах.
2. Оптимизированы параметры работы детекторов на основе микроканальных пластин и каналовых электронных умножителей в условиях интенсивных потоков падающего излучения. Разработаны две детекторные системы, предназначенные для регистрации МР и ЭУФ излучения. Универсальная система обеспечивает регистрацию излучения в режиме счета единичных фотонов. Вторая, спектрально-селективная, предназначена для паспортизации источников ЭУФ излучения для литографии 13,5 нм.
3. Измерен коэффициент конверсии энергии электронного пучка в энергию флуоресцентного излучения с длиной волны 13,5 нм, который для электронов с энергией 6 кэВ составил 3,03*10'6. Определены, как экспериментально, так и теоретически угловые зависимости интенсивности флуоресценции Б і Ьа линии.
4. Экспериментально показана возможность применения рентгеновских трубок с кремниевым анодом для ряда задач проекционной литографии 13,5 им. Предложена дифракционная маска, которая уже на данном этапе позволит исследовать пространственное разрешение фоторезистов на 13,5 нм с разрешением до 15 нм.
12
5. Разработана методика диффузного рассеяния (ДР) для исследования внутреннего строения МС. Основные преимущества этой методики по сравнению с развитыми ранее: возможность учета динамических эффектов ДР без привлечения трудоемкого алгоритма рекуррентных соотношений и разделение вкладов микрошероховатости и перемешивания слоев в длину переходных областей МС. Этим методом изучены МС на основе W/B4C, Mo/Si. Получен ряд физических результатов, важных как для понимания физики роста МС, так и для дальнейшего совершенствования технологии роста.
Публикации по теме
По представленным на защиту материалам автором опубликовано 26 работ: 8 статей в научных журналах [A1-A8J и 18 в сборниках конференций и тезисов докладов [Т1-Т18]. Неоднократно результаты докладывались автором на заседаниях научных конференций.
Личное участие автора
- Равнозначный в разработку оптической схемы, конструкционные особенности двухзеркального рефлектометра (совместно с Н.И. Чхало) [А1, A3, А7,Т5,Т7, Т8].
- Основной в отработку методик и проведение измерений коэффициентов отражения многослойных зеркал и пропускания тонкопленочных фильтров на длине волны 13,5 им с точностью лучше 0,2% [Т13,Т 14,Т 16,Т 18].
- Определяющий в работе по изучению дегекторов для МР и ЭУФ диапазона, оптимизации их параметров и режимов работы [А2, Tl, Т2, ТЗ, Т4, Т12].
- Определяющий в постановке и проведении экспериментов по определению коэффициента конверсии энергии электронного пучка в энергию флуоресценции характеристической линии Si La [Т13, Т17].
- Равнозначный в изучении ЭУФ резиста на длину волны 13,5 нм, определении порога чувствительности и получении первого литографического изображения при использовании Р Г с кремниевой мишенью в качестве источника ЭУФ излучения, а также подготовке эксперимента по изучению пространственно
13